...
Ishaan
Posted on 16 Aug 2023

Useful System Tasks and Functions in Verilog

System tasks and functions are useful in both RTL design and RTL verification. These make us getting things done easier. Verilog has various system tasks and function but, we will cover most used or useful of them.

Display Tasks

These tasks are useful when printing the values of various variables or design under test module. Display task is much similar to printf function of C language. The syntax is given below:

$display("%d", a);

Above line displays the current value of the register or wire a.

Finish Tasks

This tasks terminate the simulation of a Verilog testbench whenever it reaches to this line.

$finish();
Learn Verilog HDL

Click like if you found this useful

Add Comment

* Required information
1000

Comments

No comments yet. Be the first!